----------------------------------------------------------------------------- -- Copyright (C) 2005 IMEC - -- - -- Redistribution and use in source and binary forms, with or without - -- modification, are permitted provided that the following conditions - -- are met: - -- - -- 1. Redistributions of source code must retain the above copyright - -- notice, this list of conditions and the following disclaimer. - -- - -- 2. Redistributions in binary form must reproduce the above - -- copyright notice, this list of conditions and the following - -- disclaimer in the documentation and/or other materials provided - -- with the distribution. - -- - -- 3. Neither the name of the author nor the names of contributors - -- may be used to endorse or promote products derived from this - -- software without specific prior written permission. - -- - -- THIS CODE IS PROVIDED BY THE AUTHOR AND CONTRIBUTORS ``AS IS'' - -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED - -- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A - -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR - -- CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, - -- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT - -- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF - -- USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND - -- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, - -- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT - -- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF - -- SUCH DAMAGE. - -- - ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- File : Constants_pack.vhd ----------------------------------------------------------------------------- -- Description : VHDL package for vga lab -- -------------------------------------------------------------------------- -- Author : Geert Vanwijnsberghe -- Date : 10/2/06 -- Version : 1.0 -- Change history : ----------------------------------------------------------------------------- Package Constants_pack is constant Del_DAC : integer := 1; constant SYSTEM_CLOCK_PERIOD : time := 10 ns; -- VGA (approximation) -- resolution : 640 x 480 -- refresh rate : 60 Hz -- pixel clock : 25 MHz constant PixClkPeriod : time := 40 ns; constant Clk_Div : real := 4.0; constant H_total : integer := 800; constant H_active : integer := 640; constant H_fp : integer := 16; constant H_sync : integer := 96; constant H_bp : integer := 48; constant V_total : integer := 520; constant V_active : integer := 480; constant V_fp : integer := 9; constant V_sync : integer := 2; constant V_bp : integer := 29; constant WordsPerLine : integer := 64; -- SVGA (exact VESA settings) -- resolution : 800 x 600 -- refresh rate : 72 Hz -- pixel clock : 50 MHz -- constant PixClkPeriod : time := 20 ns; -- constant Clk_Div : real := 2.0; -- constant H_total : integer := 1040; -- constant H_active : integer := 800; -- constant H_fp : integer := 56; -- constant H_sync : integer := 120; -- constant H_bp : integer := 64; -- constant V_total : integer := 666; -- constant V_active : integer := 600; -- constant V_fp : integer := 37; -- constant V_sync : integer := 6; -- constant V_bp : integer := 23; -- constant WordsPerLine : integer := 80; end Constants_pack; package body Constants_pack is end Constants_pack;